CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Digital System Design with VHDL

搜索资源列表

  1. CPLDOGRAM

    1下载:
  2. 摘要: 文中介绍了数字频率计的结构、工作原理及计数方式,给出了基于VHDL语言的频率计系统的行为源描述,讨论了在VHDL的高级综合系统QuartusII的支持下,自顶向下地进行传输模块的设计工程,并给出了系统的仿真波形以及其应用实践。-Abstract : This paper introduces a digital frequency of the structure and working principle and counting, is based on VHDL Frequency
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5918
    • 提供者:李越
  1. NiosII_implementation_in_CCD_C

    0下载:
  2. The concept of the Altera Nios II embedded processor implementation inside Field Programmable Gate Array [FPGA] of the CCD camera for the “Pi of the Sky” experiment is presented. The digital board of the CCD camera, its most important components, cur
  3. 所属分类:软件工程

    • 发布日期:2017-04-09
    • 文件大小:1427409
    • 提供者:Francis Wu
  1. shuzizhong

    0下载:
  2. 设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校准时间,每逢整点,产生报时音报时。 实验平台: 1. 一台PC机; 2. MAX+PLUSII10.1。 Verilog HDL语言实现,还有完整的实验报告-The design of a can be hours, minutes, seconds time of 12 hours or 24 hours system, d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:425747
    • 提供者:盼盼
  1. VerilogHDL

    0下载:
  2. 复杂数字电路与系统的VHDL设计方法,有原理,说明及实例-Complex digital circuits with VHDL design system, a principle, descr iptions and examples of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:3120504
    • 提供者:lucy
  1. jj

    1下载:
  2. 本题设计一个数字存储示波器,以Xilinx公司20万门FPGA芯片为核心,辅以必要的外围电路(包括信号调理、采样保持、内部触发、A/D转换、D/A转换和I/O模块),利用VHDL语言编程,实现了任意波形的单次触发、连续触发和存储回放功能,并按要求进行了垂直灵敏度和扫描速度的挡位设置。信号采集时,将外部输入信号经信号调理模块调节到A/D电路输入范围,经A/D转换后送入FPGA内部的双口RAM进行高速缓存,并将结果通过D/A转换送给通用示波器进行显示,完成了对中、低频信号的实时采样和高频信号的等效采
  3. 所属分类:其他小程序

    • 发布日期:2017-06-10
    • 文件大小:546457
    • 提供者:黄奇家
  1. fsh

    0下载:
  2. 这是我的毕业可用8位的LED显示,有小数点的。设计哦,可以用的。可供参考-VHDL-based digital frequency meter With the rapid development of electronic technology, FPGA/CPLD appear in its high-speed, high reliability, series parallel mode of outstanding merit widely used in the electronic
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4354498
    • 提供者:战魔
  1. yt7132_clock

    1下载:
  2. 用VHDL语言编写的12/24小时时钟,利用EDA系统软件QuartusII环境下基于FPGA/CPLD的数字系统设计方法-VHDL language with the 12/24 hour clock, the use of EDA software QuartusII environment based on FPGA/CPLD design of digital system
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2105880
    • 提供者:Cherry
  1. RS232_FIR

    0下载:
  2. Quartus II was a development tool of CPLD / FPGA by Altera Company. Quartus II provides a fully integrated circuit structure and has nothing with the development package environment, it has all the features of digital logic design, it is including: a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:202597
    • 提供者:jay
  1. Baseband-optical-based-on-Gigabit

    1下载:
  2. 提出一种适用于数字微波接力系统的基 带光纤拉远的接口方案 采用高性能千兆以太网物理层芯片 88E1111 和 1.25G 光收发器 SSFF3151 完成基带接口 基带信号可以通过数字光纤传输技术传到远端 并恢复射频信号 介绍了 88E1111 的工作原理 性能 接口等 并给出硬件电路设计的原理 以及各部分的具体实现方法和原理图 -Compared with the traditional RF and IF pulls distant technology the baseband o
  3. 所属分类:Project Design

    • 发布日期:2017-03-23
    • 文件大小:143639
    • 提供者:moon
  1. shuzidianyabiao

    1下载:
  2. 系统基于EDA技术的智能数字电压表实现,以现场可编程门阵列(FPGA)为设计核心,集成于一片Xilinx公司的SpartanⅡE系列XC2S100E-6PQ208芯片上,在ISE环境下采用超高速硬件描述语言(VHDL)模块化编程,实现了电压的数据采集、转换、处理、显示等功能。本设计的特点在于能够测量的电压范围宽(0~50VDC),主要采用了分压原理,该系统具有集成度高、灵活性强、易于开发和维护等特点。-System based on EDA technology of intelligent d
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-04
    • 文件大小:15360
    • 提供者:zhao
  1. Traffic-light-design

    0下载:
  2. (1) 能显示十字路口东西、南北两个方向的红、黄、绿的指示状态; • 用两组红、黄、绿三色灯作为两个方向的红、黄、绿灯,能实现正常的倒计时功能; • 用两组数码管作为东西和南北方向的到计时显示,显示时间为红灯55秒、绿灯50秒、黄灯5秒; *(2) 按S1键后,能实现特殊状态功能: • 显示到计时的两组数码管闪烁; • 计数器停止计数并保持在原来的状态; • 东西、南北、路口均显示红灯状态; • 特殊状态解
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:10245
    • 提供者:薛静
  1. phase_test

    0下载:
  2. VHDL,简易音频数字相位表的设计与实现 数字相位测量仪在工业领域中经常用到的一般测量工具,主要应用与同频率正弦信号间的相位差的测量显示。 本系统采用FPGA实现测量的核心部分,主要由数字鉴相、累加计数器、控制器以及寄存与显示译码电路组成。该系统硬件电路简单,整个系统采用硬件描述语言VHDL作为系统内部硬件结构的描述手段,在XILINX公司的ISE9.1的软件支持下完成。可以对20Hz~20kHz频率范围内的音频信号进行采样鉴相处理,并将数据传回FPGA进行相位差计数累加、测量运算,最后送显
  3. 所属分类:Project Design

    • 发布日期:2017-11-15
    • 文件大小:1366795
    • 提供者:张学仁
  1. Multi-function-waveform-generator

    0下载:
  2. 本系统应用VHDL语言及MAX+PLUS II仿真软件利用自顶向下的设计思想进行设计,结合示波器加以完成一个可应用于数字系统开发或实验时做输入脉冲信号或基准脉冲信号用的信号发生器,它具结构紧凑,性能稳定,设计结构灵活,方便进行多功能组合的特点,经济实用,成本低廉。具有产生四种基本波形脉冲信号(方波、三角波、锯齿波和正弦波),且脉冲信号输出幅度及输出频率可调,对于方波信号,还可以实现占空比可调。通过软件仿真和硬件测试都得到了预期的结果。-The system using VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:1485546
    • 提供者:xinxing
  1. Digital-system-EDA

    0下载:
  2. 四位二进制数可预置可逆计数器设计 学习使用MAX+PlusⅡ文本编辑器的模板输入方法,熟悉常用语句的语法现象,掌握VHDL功能描述和结构描述的方法。-Four binary number can be preset the reversible counter design learning using a text editor MAX+Plus Ⅱ template input method, familiar with common statement syntax phenomenon
  3. 所属分类:Other systems

    • 发布日期:2017-11-13
    • 文件大小:161608
    • 提供者:王海阔
  1. VHDL-Multi-fuction-Clock

    0下载:
  2. 设计一个多功能数字钟,要求显示格式为小时-分钟-秒钟,整点报时,报时时间为10 秒,即从整点前10 秒钟开始进行报时提示,喇叭开始发声,直到过整点时,在整点前5 秒LED 开始闪烁,过整点后,停止闪烁。系统时钟选择时钟模块的10KHz,要得到1Hz 时钟信号,必须对系统时钟进行10,000次分频。调整时间的的按键用按键模块的S1 和S2,S1 调节小时,每按下一次,小时增加一个小时,S2 调整分钟,每按下一次,分钟增加一分钟。另外用S8 按键作为系统时钟复位,复位后全部显示00-00-00。-T
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7658196
    • 提供者:冯雨娴
  1. fdiv_test_isim_beh

    0下载:
  2. VHDL主要用于描述数字系统的结构、行为、功能和接口。除了许多具有硬件特性的句子外,VHDL语言形式、描述风格和语法与一般计算机高级语言非常相似。VHDL的程序结构是一个工程设计,或设计实体(可以是一个组件,一个电路模块或一个系统)被划分为外部(或可见部分,和端口)和内部(或不可视)-VHDL is used primarily to describe the structure, behavior, function, and interface of digital systems. In
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-13
    • 文件大小:393027
    • 提供者:小陈
  1. HDB3

    0下载:
  2. 按照要求对“数字基带信号HDB3译码器设计与建模”进行逻辑分析,了解HDB3译码器译码原理,了解各模块电路的逻辑功能,设计通信系统框图,画出实现电路原理图,编写VHDL语言程序,上机调试、仿真,记录实验结果波形,对实验结果进行分析。(In accordance with the requirements of the logical analysis of the design and modeling of the digital baseband signal HDB3 decoder, H
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:8990720
    • 提供者:Remrinrin
« 1 2»
搜珍网 www.dssz.com